签到
EN

半导体材料行业深度报告:景气度持续向上,半导体国产替代加速进行

25浏览
2022/07/28 03:56发布
经典论文回顾
经典论文回顾
邀您一起回顾经典论文——半导体材料行业深度报告:景气度持续向上,半导体国产替代加速进行

一、景气度持续向上,半导体材料国产替代空间广阔

(一)半导体材料是产业底层基础,全球市场规模近 600 亿美元

半导体材料处于半导体产业链上游,是整体半导体产业的底层基础。半导体产业链整体 可被分为上、中、下游三个板块,其中上游为半导体的支撑产业,由半导体材料和半导体设备 构成;中游为半导体制造产业链,包含 IC 的设计、制造和封测三个环节,其生产的产品主要 包括集成电路、分立器件、光电子器件和传感器;下游则为半导体的具体应用领域,涉及消费 电子、移动通信、新能源、人工智能和航空航天等领域。半导体制造企业又可以根据运作模式 分为 IDM(Integrated DeviceManufacture)和 Foundry 两种,IDM 是指集芯片设计、制造、封 装测试到销售等多个产业链环节于一身的垂直整合模式,能够协同优化各个环节,充分发掘技 术潜力,代表企业有三星、德州仪器(TI);Foundry 是指只负责制造环节的代工厂模式,该类 模式不承担由市场调研失误或产品设计缺陷所带来的决策风险,但相对前者更受制于公司间的 竞争关系,代表企业包括台积电、格罗方德和中芯国际等。在半导体产业链中,半导体材料位 于上游发挥着其特有的产业支撑作用,是整体半导体产业的底层基础。

根据半导体制造的工艺流程,半导体材料可以被分为制造材料和封装材料两大类。制造材 料主要包括硅片、化合物半导体、光刻胶、光掩模、电子特气、CMP 材料、溅射靶材和湿电 子化学品,用于 IC 制造;封装材料主要包括封装基板、键合金丝、引线框架、塑封材料等等, 用于 IC 封装测试。

全球半导体材料市场规模持续,中国大陆成为全球第二大半导体材料市场。根据 SEMI 统计,2015 年全球半导体材料市场规模 433 亿美元,2020 年达到 553 亿美元,年复合增速达 5.01%,其中晶圆制造材料复合增速达 7.78%。2021 年全球半导体材料市场预计可达到 565 亿 美元,同比增长 4.82%,继续保持增长趋势。分地域看,2020 年中国台湾地区半导体材料市 场规模为 123.8 亿美元,继续位居全球第一,中国大陆市场规模超过韩国达 97.63 亿美元,跃 居全球第二,其次是韩国市场规模为 92.31 亿美元,前三占比合计超总市场规模的一半。

图片

 

 

晶圆制造材料占比逐步提高,硅片是最大的半导体材料单一市场。从半导体材料结构分 布来看,2020 年晶圆制造材料规模达 349 亿美元,占总材料比重从 2015 年的 55%增长到 2020 年的 63%。根据 SEMI 数据,2020 年硅片市场规模达 122 亿美元,占据晶圆制造材料总规模 的 35%,远超其他制造材料稳居第一,是最大的半导体材料单一市场,电子特气和光掩模市 场规模位列第二、三位,分别为 45 和 42 亿美元,而其他制造材料占比均不足 10%。

(二)国产替代空间广阔,大陆市场规模超 100 亿美元

中国大陆半导体材料市场规模增速远超全球平均水平。2020 年,中国大陆半导体材料市 场规模全球占比为 17.65%,相较 2016 年上升了 7.65 个百分点,仅次于中国台湾(22.39%) 位列全国第二。回望 2009-2019 年全球半导体材料销售额,中国大陆半导体材料销售额从 32.70 亿美元增长至 86.90 亿美元,年复合增长率为 10.27%,同比增速整体高于全球。根据 SEMI 统计,2020 年中国大陆市场规模同比增速达 12%,高出全球增速 7.1 个百分点,市场增长势 头强劲。

undefined

 

 

国内厂商加速布局,诸多领域实现从 0 到 1 突破,半导体材料有望迎来国产化突破。由 于高端产品的技术壁垒,我国半导体材料多集中于中低端领域。而自中美贸易摩擦以来,半导 体材料国产化的诉求愈发强烈。迎合国内对高端半导体材料日益增长的需求,国内半导体材料 企业加速布局产品技术研发和产能扩张。雅克科技、沪硅产业、南大光电等均募资投入研发制 造。(1)雅克科技非公开发行不超过 12 亿元加速半导体关键材料光刻胶及光刻胶配套试剂的 研发,投资 2.88 亿元扩大集成电路新型材料球形硅微粉的产能。(2)沪硅产业定向募集 50 亿 元用于 300mm 高端硅片研发、300mm 高端硅基材料研发,加快高端半导体材料研发进度。(3) 南大光电研发 ArF 光刻胶产品并于 2021 年底建成投产,可实现年化 25 吨产能,保证集成电 路制造材料的有效供应。

(三)全球晶圆厂扩产趋势下,半导体材料景气度持续向上

制程的进步推动半导体材料价值量增加,需求相应进一步提升。摩尔定律是指集成电路 上可容纳的元器件的数目,约每隔 18-24 个月便会增加一倍,性能也将提升一倍。在摩尔定律 下,芯片工艺制程的技术节点不断向前迈进,半导体制造材料的成本也不断上升,从而推动半 导体材料的需求提升。根据 IBS 数据显示,每当向前推进一个节点时,流片成本将提升 50%, 其中很大部分是由于半导体制造材料价值提升所致。以光掩模为例,在 16/14nm 制程中,所 用掩模成本在 500 万美元左右,到 7nm 制程时,掩膜成本迅速升至 1500 万美元。

undefined

 

 

全球晶圆厂扩产趋势明显,大陆新增产能尤为可观,拉动半导体材料需求。根据 SEMI 数据显示,2017-2020 年全球新增半导体产线共计 62 条,其中中国大陆有 26 条产线,占比超 40%。此外,全球半导体制造商将于 2021 年底前开始建设 19 座新的高产能晶圆厂,并在 2022 年再开工建设 10 座,以满足市场对芯片的加速需求。其中,中国和中国台湾地区将各建有 8 座,处于全球新建晶圆厂数量领先地位,其次是美洲紧随其后,共建有 6 座。在 8 英寸晶圆方 面,SEMI 预计 2021 年全球 8 英寸晶圆厂设备支出将进一步扩大,逼近 40 亿美元,而中国大 陆将以 200mm的产能居全球领先地位,其市场份额将达到 18%,其次是日本和中国台湾地区, 分别达到 16%。全球晶圆厂扩产背景下,中国大陆作为晶圆制造产能的新兴领域,将进一步 拉动上游半导体材料需求。

二、需求推动下硅片量价齐升,国产替代蓄势待发

(一)硅片是半导体制造的基石,高纯度大尺寸为主流方向

硅片是以多晶硅为原材料,利用单晶硅制备方法形成硅棒,而后经过切割而来。一方面, 硅材料具备单向导电特性、热敏特性、光电特性、掺杂特性等优良性能,可生长成为大尺寸高 纯度晶体,契合下游半导体应用需求;另一方面,硅材料以二氧化硅和硅酸盐方式广泛存在于 矿物、岩石中,储量丰富、获取成本低,故而成为当下应用最广泛、最重要的半导体基础材料。硅作为第一代半导体材料,占据目前绝大部分应用市场份额。从半导体器件的产值来看, 全球 95%以上的半导体器件和 99%以上的集成电路采用硅作为衬底材料。虽然第二代和第三 代材料相比其存在一定的优势,但目前来看,硅材料在相当长的时间内依然会维持其主流半导 体材料的地位。

根据晶胞的排列方式,硅可被划分为单晶硅和多晶硅。其中,单晶硅的晶胞是有序、有 规律的,而多晶硅的晶胞是无序、无规律的。相比于多晶硅,单晶硅由于其晶胞规则有序,导 电能力较强,同时光电转换效率更高,被广泛应用于太阳能和电子领域。从制作工艺来讲,多 晶硅是单晶硅的上游材料,单晶硅棒是利用直拉法或区熔法对多晶硅的原子结构进行重组而获 得。上游多晶硅原料的主要成本为电力,国内半导体硅料的主要厂商为黄河水电,国际企业主 要有德国瓦克。

图片

 

 

硅片根据其下游应用可以主要分为半导体硅片和光伏硅片,半导体硅片比光伏硅片的要 求更高,其中纯度为最大不同,纯度要求决定制作工艺的难易。光伏领域同时使用单晶硅及 多晶硅,纯度要求为 99.9999%左右(4-6N),由于对纯度、曲翘度等参数要求较低,其制造过 程也相对简单。半导体领域只使用单晶硅,随着其制程的不断缩小,芯片制造工艺对硅片缺陷 密度与缺陷尺寸的容忍度也在不断降低,要求其纯度达到 99.999999999%(11N)以上,以通 过国际主流晶圆厂的审核认证。半导体硅片技术要求高,叠加下游需求旺盛因素,通常附加值 也较高,因此更具投资潜力。

成本驱动下,半导体硅片呈现向大尺寸发展趋势,但 300mm 级半导体硅片在长时间内依 然会保持主流地位。半导体硅片是圆形,因此也叫“硅晶圆”或者“晶圆”。晶圆是芯片制造 的“基底”,所有的芯片都是在这个“基底”上制造,根据不同尺寸主要分为 300mm(12 英 寸)、200mm(8 英寸)、150mm(6 英寸)、125mm(5 英寸)、100mm(4 英寸)等规格。一方面,更大尺寸的硅片意味着可制造的芯片数量更多,相应的生产效率更高;另一方面,由 于硅片是圆形,因此制造方形芯片时不可避免地会浪费硅片圆形边缘,圆形半径越大,边缘浪 费将更低,300mm 半导体硅片可使用面积达到 200mm 硅片两倍以上,可使用率达到 2.5 倍左 右。因此,硅片尺寸越大,对晶圆厂意味着更低的生产成本。自 1970 年研发出 50mm 尺寸起, 每隔 5 年左右半导体硅片尺寸便向前发展一个等级,并于 2000 年前后发展到 300mm 等级。目前,450mm 硅片由于投资数额巨大且目前良率不理想,所以目前主流硅片还将维持在 300mm 等级。

(二)需求推动下硅片量价齐升,2021 硅晶圆出货面积预计创新高

下游需求带动硅片需求持续增长,2021 年出货面积将创新高,硅片价格将保持高位。半 导体硅片在半导体制造材料中占比为 37%,是占比最高的半导体材料。90%的芯片都需要硅片 作为基础,所以半导体硅片市场规模与半导体市场规模变化趋势具有一致性。随着半导体市场 规模的增长,对应全球硅片出货面积从 2011 年的 90 亿平方英寸增至 2020 年的 125 亿平方英 寸,CAGR 为 3.7%。从硅片价格来看,自 2011 年开始,全球半导体硅片价格因产能过剩持续 下滑,直至 2016 年拐点出现,2017 年重回上升通道,2019 年价格升至 0.95 美元/平方英寸。考虑后疫情时代下各应用领域对各类芯片需求提升,硅片供应持续紧张,全球半导体硅片大厂 陆续展现涨价意愿。2020 年 12 月,环球晶圆表示公司目前全产能满载,并透露已调涨 300mm 晶圆现货价,其余产品现货价也将逐步调涨。2021 年 3 月全球第一大半导体硅片厂商信越化 学宣布从 2021 年 4 月起对其所有硅产品的销售价格提高 10%-20%,主要是原材料金属硅的 成本上升和中国市场需求的强劲增长导致供应短缺。考虑到全球晶圆厂大幅扩产带来的增量需 求,预计硅片价格仍将保持高位。

图片

 

 

12 英寸硅片出货量比重超过 60%,未来仍将继续提升。随着终端芯片的先进制程占比持 续增加,对 12 英寸硅片的需求也相应扩张,全球 12 英寸半导体硅片占总体出货量的比重从 2010 年的 50%增至 2020 年的 63%,整体呈现稳定上升趋势。由此可预计未来下游晶圆厂将继 续集中于 12 英寸硅片的研发和扩产,12 英寸硅片出货占比还将进一步增加。

晶圆厂大幅扩产,随着新增产能释放硅片需求也将继续增长。据 SEMI 统计,全球半导体 制造商将在今年年底前开始建造 19 座新的高产能晶圆厂,并在 2022 年再开工建设 10 座,这 29 家晶圆厂的设备支出预计在未来几年将超过 1400 亿美元,以 200mm 尺寸晶圆等效计算, 这 29 家晶圆厂每月可生产 260 万片。根据芯思想统计,截止到 2021Q2,中国内地 12 英寸、8 英寸和 6 英寸及以下的晶圆制造线共有 200 条,已经投产的 12 英寸晶圆制造线有 27 条,合计 装机月产能约 118 万片,已经投产的 8 英寸晶圆制造线共有 28 条,合计装机月产能约 120 万 片;已经投产的 6 英寸及以下晶圆制造线装机产能约 400 万片约当 6 英寸产能。在建未完工、 开工建设或签约的 12 英寸晶圆制造线 29 条,相关投资金额高达 6000 亿元,规划月产能达 132 万片,在建未完工、开工建设或签约的 8 英寸晶圆制造线 10 条,规划产能 27 万片/月,预计 2022-2023 年将迎来新增产能集中释放。

(三)行业格局呈寡头垄断,国产替代蓄势待发

全球半导体硅片行业市场主要由四家厂商占据,占比高达 86.6%,整体呈现寡头垄断格 局。半导体硅片行业市场集中度较高,根据 SEMI 数据,2020 年全球前五大半导体硅片厂商 分别为日本的信越化学、日本盛高(SUMCO)、中国台湾地区的环球晶圆、德国 Siltronic AG 以 及韩国的 SK Siltron。其中,日本的信越化学和 SUMCO 合计份额为 49.04%,前五大厂商一共 占据全球半导体硅片市场超过 85%的份额,但相较 2019 年市场占比总和有所下降。2021 年 2 月,环球晶圆公开收购 Siltronic AG 50.8%股份,按合并后营收规模来看,环球晶圆市场份额 居第二位,占比 26.26%,此后半导体硅片市场寡头变为四家。

undefined

 

 

国内硅片企业加速追赶,国产替代空间巨大。目前全球各半导体硅片大厂已陆续实现 8 英寸和 12 英寸半导体硅片的量产,且正在积极研发 12 英寸以上的更大尺寸的硅片。而我国目 前只有极少企业拥有 12 英寸的半导体硅片制造技术,国产化率不足 1%,8 英寸硅片国产化率 仅达 10%,国内晶圆厂的硅片国产替代需求十分旺盛。随着硅片市场需求的逐步扩大和半导 体硅片制作技术的不断突破,国内厂商持续扩张产能,沪硅产业、中环股份和立昂微为国内 硅片制造龙头,产销逐年上涨。

立昂微是我国较早一批专业从事半导体硅片和半导体功率器件研发、生产和销售的企业之 一,主营业务包括半导体硅片、半导体功率器件、化合物半导体射频芯片三大板块,以产业链 上下游一体化作为核心竞争优势。立昂微子公司浙江金瑞泓、衢州金瑞泓主要从事 8 英寸及以 下半导体硅片业务,主要产品包括硅研磨片、硅抛光片、硅外延片等;子公司金瑞泓微电子主 要从事 12 英寸半导体硅片业务。就具体规格而言,公司 6 英寸硅片产线长期处于满负荷运转 状态,8 英寸硅片产线的产能充分释放,12 英寸硅片在关键技术、产品质量以及客户供应上 取得重大突破,并预计将在 2021 年底达到年产 180 万片规模的产能。营收方面,2017-2020 年公司半导体硅片业务实现的收入占主营业务收入的比例分别为 52.30%、65.62%、64.21%和 65.6%。

三、国产光刻胶技术有所突破,供需矛盾下迎来新机遇

(一)光刻胶是半导体制造关键材料,产业链涉及范围广泛

光刻胶又称光致抗蚀剂,由成膜剂、光敏剂、溶剂和添加剂等主要化学品成分和其他助剂组成,是半导体制造的关键性材料。光刻胶通常应用在光刻工艺中,光刻工艺历经硅片表 面脱水烘烤、旋转涂胶、软烘、曝光、曝光后烘烤、显影、坚膜烘烤、显影检查等工序。在光 刻过程中,光刻胶被均匀涂布在衬底上,经过曝光、显影与刻蚀等工艺,将掩膜版上的图形转 移到衬底上,形成与掩膜版完全对应的几何图形。光刻工艺约占整个芯片制造成本的 35%, 耗时占整个芯片工艺的 40-50%,是半导体制造中最核心的工艺。

undefined

 

 

根据应用领域的不同,光刻胶可分为 PCB 光刻胶、LCD 光刻胶和半导体光刻胶。其中, PCB 光刻胶的技术壁垒最低,主要包括干膜光刻胶、湿膜光刻胶和光成像阻焊油墨,应用于 微细图形加工中。LCD 光刻胶主要包括彩色光刻胶和黑色光刻胶、触摸屏光刻胶、TFT-LCD 光 刻胶,可被用于制备彩色滤光片,沉积 ITO 制作等。半导体光刻胶的技术门槛较高,具体可 被细分为 g 线、i 线、KrF、ArF 和 EUV 线,随着其曝光波长依次递减其极限分布率依次上升, 从而可适用于更加先进的芯片制程。(报告来源:未来智库)

(二)半导体光刻胶市场规模近 20 亿美元,海外企业长期垄断

全球光刻胶市场整体呈持续扩张趋势。根据 Cision 统计,全球整体光刻胶市场规模 2019 年达到 91 亿美元,预计 2022 年全球市场可达到 105 亿美元的市场规模,年均复合增长率达到 5%。从结构占比看,半导体光刻胶占比最高,达到 27%, LCD 和 PCB 光刻胶比例相当,占 比均为 24%。

半导体光刻胶市场增速高于整体,尤其高端半导体光刻胶需求旺盛,中国半导体光刻胶 市场高速增速。近 5 年,全球半导体光刻胶市场呈快速增长趋势,市场规模从 2015 年的 13 亿美元提高到 2020 年的约 21 亿美元(不包括 EUV 光刻胶),其中负胶和 g 线光刻胶市场规 模增长幅度较小,高端半导体光刻胶 ArF、KrF 光刻胶市场规模占比逐步提升,合计占比超过 总体的 75%。中国半导体光刻胶市场规模快速增长,从 2015 年约 10 亿元提高到 2020 年的约 25 亿元,复合增速达到 20%。

undefined

 

 

在半导体光刻胶细分领域,日本市场仍具有较高话语权,尤其是 ArF 光刻胶和 EUV 光 刻胶领域。日本 JSR、信越化学、东京应化和住友化学占据 ArF 光刻胶市场前四,市占率分别 为 25%、23%、20%和 15%,合计市场份额高达 83%。而在 EUV 光刻胶领域,日本企业合计 市场占比近 90%,掌握极高主导权,其中日本 JSR 作为可实现量产的厂商之一,将于 2021 年 10 月底完成对美国 Inpria 的收购,继续增强技术优势。Inpria 一直致力于开发基于金属的 EUV 光刻胶,该金属基光刻胶在干蚀刻过程中的图案转移性能方面优于传统光刻胶,非常适合半导 体量产工艺。此外,在 g/i 线光刻胶和 KrF 光刻胶,日本也分别占据全球 64%和 74%的份额。

(三)供需关系变化带来新机遇,国产替代迎来机遇期

放眼全球市场,晶圆扩产增与先进制程占比提升增加光刻胶需求,海外供应链不稳定加 剧供需紧张关系。从需求端来看,光刻工艺是芯片制作过程中不可缺少的一环,光刻胶在半 导体制造材料中占有稳定比例,光刻胶及光刻胶辅助材料合计占比可达总成本的 14%。随着 下游各大晶圆厂纷纷扩产,对半导体光刻胶的需求也相应逐年提升。此外,随着芯片制程逐渐 往先进制程发展,高价值量的 ArF、KrF 光刻胶市场占比也会相应提升,从而带动整个光刻胶市场规模的进一步增长。2021 年 2 月 13 日,日本福岛地震事件使信越化学在当地的 KrF 生产 线受到较大破坏,导致其对中国大陆多家一线晶圆厂限制供货 KrF 光刻胶,并通知对更小规 模晶圆厂停止供货 KrF 光刻胶,反映出海外供应链给供给端带来的不稳定性。

半导体光刻胶行业过去主要面临原材料、设备、技术和客户认证四大壁垒。原材料壁垒 和设备壁垒主要是指光刻胶上游产业链的资源主要被海外垄断,国内供给和定价受限,以致前 期投资规模巨大。除进口基本原料外,大部分光刻胶专用试剂和配方由于技术限制目前无法实 现国产化。高端光刻设备方面,荷兰 ASMAL、韩国 NIKON、CANON 三家大厂实现寡头垄 断,市场规模合计占比超九成且定价昂贵,单台 EUV 光刻机售价可超过 1 亿欧元,致使国内 高端光刻机面临严重短缺的局面。技术壁垒是进入光刻胶行业的最大壁垒,主要是指研发光刻 胶产品所面临的各种难题,包括差异化需求的产品配方,高品质的化学品用料以及复杂的工艺 过程和严格的参数结果要求等等。客户认证壁垒主要在于企业打破技术壁垒之后会面临较长的 客户认证周期,认证周期和下游客户对原有生产厂商的黏性无疑给光刻胶生产厂商带来较大的 资金压力。

图片

 

 

国内半导体光刻胶企业主要有晶瑞电材、南大光电、北京科华、上海新阳和徐州博康。晶瑞电材是老牌半导体光刻胶供应商之一,其 i 线光刻胶近年来持续向中芯国际等企业供货, KrF 光刻胶正在客户验证阶段(已完成中试),ArF 高端光刻胶研发工作于 2020 年下半年已正 式启动。南大光电专注于 ArF 光刻胶的研发与生产,其年产 25 吨 ArF 光刻胶生产线已于 2021 年 7 月通过专家组绩效评价验收。北京科华是唯一被 SEMI 列入全球光刻胶八强的中国光刻胶 公司,国内客户包括中芯国际、上海华力微电子等主流集成电路企业,在 G/I 线和 KrF 高端光 刻胶已实现量产,ArF 光刻胶项目还仍在推进中。上海新阳 KrF 厚膜胶已通过下游客户验证并 取得订单,ArF 光刻胶尚处于客户认证当中。徐州博康 KrF 光刻胶已实现量产,并开始小批量 供应 ArF 光刻胶。关于 EUV 光刻胶,目前北京科华进入早期研发阶段,晶瑞电材、南大光电 等大部分企业还没有相关研发计划。

四、光掩模是光刻工艺底片,台湾市场规模领跑多年

(一)光掩模是光刻工艺底片,主流发展趋势为高精度

光掩模是指微电子制造中光刻工艺所使用的图形转移工具或母版,其功能类似于传统照 相机的“底片”。在光刻步骤,利用掩膜版上已设计好的图案,通过显影、刻蚀、脱模、清洗 等环节进行图形复制,从而实现批量生产。根据光掩模基板的制作材料不同,可将光掩模分为 石英基板和苏打基板等;根据光掩模的用途不同,可将其分为半导体光掩模、平板显示光掩模、 电路板光掩模和触控用光掩模等。

光掩模主要由透光的基板和不透光的遮光膜组成,石英基板和铬为主流选择。基板材料 包括树脂基板和玻璃基板,其中由石英玻璃制成的基板具有高纯度、反射率、低热膨胀率的特 点,在使用环境上相对于其他材料对工艺生产环境的要求较低、寿命较长,主要应用于集成电 路和平板显示器等领域。在光掩模玻璃基板需求量材料分布占比中,石英玻璃占比不断提升, 由 2015 年的 27%提升至 2020 年的 42%。在光掩模制造成本中,直接材料占比达 67%,而基 板占直接材料的比重高达 90%,因此基板占光掩模总制造成本的比例可达 60.3%。遮光膜可分 为乳胶遮光膜和硬质遮光膜(包括铬、硅、氧化铁),其中铬精度最高,耐用性更好,广泛应 用于平板显示、IC(集成电路)、印刷线路板和精细电子元器件。

图片

 

 

(二)半导体光掩模市场持续增长,中国台湾市场规模最大

下游硅晶圆需求和芯片制程的进步推动半导体光掩模市场不断扩张。从下游应用需求占 比来看,光掩模具体应用于 IC、LCD、OLED 和 PCB 等领域,其中光掩模在 IC 领域需求占 比最高,达 60%,其次为 LCD(液晶显示屏)领域,达 23%。考虑到全球晶圆厂扩产大势, 对半导体光掩模的需求有望将进一步增长。此外,随着半导体芯片工艺制程的技术节点不断迈 进,晶圆线宽不断减小,同体积芯片所能容纳基础单元结构更多,所需要的光掩模数量也相应 增加。

中国台湾是半导体光掩模最大市场。2019 年,全球半导体光掩模市场整体呈增长态势, 规模为 41 亿美元,2022 年预计达 44 亿美元。从地区分布来看,2019 年全球前三大半导体光 掩模市场依次为中国台湾、韩国和北美,占比分别为 37.92%、20.91%和 19.33%。2012 年以来, 中国台湾一直是半导体光掩模最大市场。近年来中国台湾和大陆地区为全球晶圆主要扩产地, 而在光掩模下游客户选取供应商时,除了考量质量和价格因素外,运输成本和交货速度也是光 掩模制造商的一大竞争力因素。

(三)美日企业主导全球市场,国内企业奋起直追

半导体光掩模竞争格局为美日龙头企业主导,行业集中度较高。全球前三大半导体光掩 模厂商分别为美国福克尼斯、大日本印刷和日本凸版印刷,其中福尼克斯的市场份额约为 13亿美元,约占总市场规模的 35%,CR3 合计占据 85%的市场份额。由于各大厂对于光掩模的 生产技术实行较为严格的封锁,半导体光掩模市场尤其是精密加工领域垄断严重,国内仅有少 数企业如无锡华润、无锡中微能生产 0.13μm 以上的光掩模,而对于 HTM、GTM、PSM 等光 掩模几乎都依赖进口。

undefined

 

 

近年来,国内一些企业通过不断的技术研发和产品升级,开始追赶海外龙头企业的研究 步伐。清溢光电是国内成立最早、规模最大的光掩模生产企业之一,主要从事光掩模的研发、 设计、生产和销售业务。2020 年公司实现营业收入 4.87 亿元,同比增长 1.57%,实现归母净 利润 0.76 亿元,同比增长 8.55%。公司主营业务根据基材不同分为石英掩膜版、苏打掩膜版, 占比分别为 82.13%和 16.98%。半导体光掩模方面,其深圳工厂当前的半导体芯片用掩膜版量 产能力在 0.25um 工艺水平,并预计未来量产能力由 0.25um 提升至 0.13um 工艺的量产能力。

五、电子特气市场进入壁垒高,国际巨头形成寡头垄断

(一)电子特气是半导体制造的“血液”,市场进入壁垒高

电子特种气体(下文简称“电子特气”)属于工业气体的重要分支。工业气体是现代工业 的基础原材料,主要分为大宗气体和特种气体两大类,其中特种气体又可分为标准气体、高纯 气体和电子特种气体。电子特种气体是工业气体中附加值较高的品种,与传统工业气体的区别 在于具有更高纯度或者具有特殊用途,可用于薄膜沉积、刻蚀、掺杂、钝化、清洗,或用作载 气、保护气氛等等。电子特气是集成电路、平面显示器件、太阳能电池、光纤光缆等电子工业 生产中不可或缺的基础和支撑性材料之一,相关下游领域的快速发展将带动未来特种气体的增 量需求。

电子特气是半导体制造的“血液”。电子特气的使用穿透半导体制造的整个过程,根据全 球晶圆制造材料市场占比分布,电子特气为晶圆制造第二大耗材,占比达 13%。根据电子特 种气体所参与的工艺环节不同,可将电子特种气体分成六大类,分别为化学气相沉积、离子注 入、光刻胶印刷、扩散、刻蚀和掺杂。其中三氟化氮(NF3)是目前应用最广泛的电子特气, 占全球电子气体产量的 50%,是一种强氧化剂,常应用于半导体的刻蚀环节中。

(二)中国电子特气市场快速增长,2024 年规模预计达到 230 亿元

种气体市场规模呈逐年上升趋势。2011-2018 年期间,全球特种气体市场逐年扩张,年 均复合增长率达 8.8%,近五年的市场规模增速趋近于5%。就中国特种气体市场而言,2011-2020 年其年均复合增长率达 10.0%,高于全球增速 1.2 个百分点。从中国占全球市场规模比例来看, 2018 年中国市场规模为 584 亿元,占全球比例约为 21%,占比变化较稳定。

undefined

 

 

中国电子特气市场提速明显,2024 年规模预计达到 230 亿元。2020 年全球半导体电子特 气市场规模约为 43 亿美元,2021 年市场预计将继续扩张,有望超过 45 亿美元。2020 年中国 电子特气市场规模为 150 亿元,年均复合增速高达 13%,相较全球水平提速明显。根据中国 半导体协会预测,2024 年中国电子特气市场规模将达到 230 亿元。

电子特气市场规模大小与半导体产业发展情况联系紧密。从电子特气的下游应用市场分 布来看,半导体是电子特种气体消费量最大的市场。根据 Linx 统计,半导体所消费的特种气 体占全球电子特气总市场的 73%,其次为面板显示,占比约 20%。我国电子特气市场中,半 导体需求占比最高达 42%,面板显示紧随其后,占比高达 37%,主要与我国半导体领域相较 面板、光伏等领域发展相对滞后有关。

(三)电子特气行业高度集中,国际巨头形成寡头垄断

电子特气行业具有高度集中的特点,海内外市场皆被国际巨头瓜分。全球电子特气市场 目前主要被四大巨头瓜分,分别为美国空气化工、德国林德、法国液化空气和日本太阳日酸, 合计占据总市场份额的 94%,各龙头企业势均力敌,占据市场份额差距不大,依次为 26%、 26%、24%和 18%。海外龙头企业电子特气生产标准均高于国际规定标准,并且对相关技术进 行严格封锁,具有较高的技术优势。国内企业起步较晚,受限于技术壁垒和客户认证壁垒,所 占国内市场份额仅为 12%,进口制约较为严重,国内企业市场竞争压力较大。

图片

 

 

南大光电和雅克科技属于半导体材料综合型公司,主营包括光刻胶、电子特气等多种半 导体材料产品的生产、研发和销售。南大光电主营氢类和含氟类气体产品,成功实现了国产 磷烷、砷烷的产业化和进口替代,其三氟化氮、六氟化硫产品已向全球厂家批量供货,具有较 高的市场认可度。南大光电在近四年间电子特气收入占比持续上升,从 2017 的 20.16%上升至 2020 年的 72.18%,营业收入达 4.29 亿元,但近三年其销售毛利率呈下降趋势,与其营业成本 大幅上升有关。雅克科技电子特气板块聚焦于含氟类特种气体,主要产品为六氟化硫和四氟化 碳。2020 年电子特气营收达 3.73 亿元,占比为 16.4%,毛利率达 43.66%。(报告来源:未来智库)

六、CMP 材料市场前景广阔,国产替代迎更多发展机遇

(一)CMP 是晶圆制造关键工艺,抛光垫/液是核心耗材

CMP 即化学机械抛光(Chemical Mechanical Polishing,CMP),是在半导体制造过程中 通过化学腐蚀和机械研磨作用的有机结合实现晶圆表面全局均匀平坦化的关键工艺,也是制 程节点在 0.35μm 及以下芯片制造中唯一可实现全局平坦化的工艺技术。

硅片作为集成电路芯片的基础材料,其表面粗糙度和平整度是影响集成电路刻蚀线宽的重 要因素之一。最初,半导体基片大多采用机械抛光实现平坦化,但这种做法对晶圆表面损伤较 为严重,基于淀积技术的溅射玻璃 SOG(spin-on-glass)、低压 CVD(Chemical Vapor Deposition, 化学气相沉积)、等离子体增强 CVD、偏压溅射和热回流、淀积-腐蚀-淀积等方法也曾应用于 IC 工艺,平面化能力从几微米到几十微米不等,均属于局部平坦化技术,无法满足特征尺寸 在 0.35μm 以下的全局平坦化。

图片

 

 

CMP 技术来源于平滑镜面研磨中的超精密研磨技术,其概念最早由美国的 Monsanto 于 1965 年提出;1988 年,IBM 公司首次将 CMP 技术应用于动态随机存储器的制造,此后,各 种逻辑和存储器的生产以不同的发展规模走向 CMP 工艺。随着摩尔定律的发展,集成电路规 模不断扩大,特征尺寸不断缩小,布线层数不断增加,对晶圆平坦化程度要求不断提高,由于 CMP 工艺能够实现高度平坦化、低表面粗糙度和低缺陷的效果,集成电路制造对其产生越来 越强烈的依赖。第三代布线技术广泛应用后,CMP 技术在 0.25 μm/0.35 μm 这一技术节点开 始成为集成电路制造的关键工艺制程,应用范围正日益扩大,目前 CMP 技术已成为几乎公认 唯一的纳米级全局平坦化技术。

CMP 系统主要由抛光设备、抛光液和抛光垫三部分组成。其工作原理如下:将晶圆固定 于抛光头上,对抛光头施加一定压力使其与抛光垫充分接触;抛光头与抛光垫在电机驱动下以 一定速度和方向旋转,同时将抛光液通过加液系统滴加到抛光垫上,使晶圆表面与抛光液中的 化学试剂发生化学反应,形成一层较软的氧化膜层;再利用抛光液中的磨料与抛光垫的机械摩 擦作用去除软质层,通过化学腐蚀与物理研磨的交替进行获得高度平坦化、无划痕和杂质玷污 的晶圆表面,实现全局平整落差 100A°~1000A°(相当于原子级 10~100nm)超高平整度,使 下一步的光刻工艺得以进行。

抛 光 液 和 抛 光 垫 是 CMP 工 艺 流 程 的 核 心 耗 材 。CMP 抛 光 材 料 包 括 抛 光 液 (polishingslurry)、抛光垫(polishing pad)和钻石碟(condition disk),耗用量随晶圆产量 和 CMP 平坦化工艺步骤数增加而增加。从价值量占比来看,CMP 材料是晶圆制造的核心耗 材,占晶圆制造总成本的约 7%;而在 CMP 材料中,抛光液和抛光垫是最核心材料,分别占 CMP 材料总价值的 49%和 33%,两者决定了 CMP 工艺的基础抛光效果,并与设备操作、硅 片等因素共同影响最终的抛光效果与效率。

抛光垫:由疏松多孔的材料制成,一般为聚亚氨酯类,有一定弹性,其主要作用包括:存储及传输抛光液至抛光区域,使抛光持续均匀进行;传递机械载荷;将抛光过程中的副产物 (如氧化产物、抛光碎屑等)带出抛光区域;形成具有一定厚度的抛光液层,提供化学反应和 机械研磨的发生场所。根据制造所用材料,抛光垫可以分为硬质和软质两类。硬质抛光垫有利 于实现工件表面较高的平整度;软质抛光垫则可以获得较薄表面损伤层和粗糙度较低的晶圆表 面。抛光垫常见表面结构主要有五种,抛光垫表面的沟槽和孔洞直接影响着抛光液的存储、流 动和废液的排除,间接影响抛光去除质量。随着 CMP 工艺的进行,抛光垫的物理和化学性能 会发生变化,因此其寿命通常只有 45-75 小时,需要定时整修与更换以恢复原有性能,属于消 耗品。

undefined

 

 

抛光液:一种均匀分散的胶粒乳白色胶体,主要起抛光、润滑与冷却作用。抛光液由磨 料、PH 值调节剂、氧化剂、分散剂、表面活性剂等多种成分混合而成。其中研磨颗粒主要为 硅溶胶和气相二氧化硅。依据不同应用领域,抛光液可以分为两类:金属膜抛光液,主要用 于 Cu 导体、Cu 隔离层研磨以及钨等镶嵌金属研磨加工;非金属抛光液,主要用于层间绝缘 膜,浅沟槽隔离,多晶硅研磨加工等。影响抛光液质量的关键因素包括磨料的硬度、粒径、形 状,以及各成分之间的质量浓度比例;同时,加料、混合和过滤等工艺流程中各种组成成分的 比例、顺序、速度和时间等都会对产品性能产生影响,因此需要通过不断试错、优化配方和 工艺流程以寻找能够获得最佳抛光效果的方案。

CMP 抛光材料的上游企业主要包括研磨剂企业、化工企业、包装材料企业和滤芯企业, 下游的应用领域为半导体制造产业,包括集成电路、分立器件、光电子器件和传感器四个领 域。半导体制造流程复杂,对于 CMP 抛光材料要求高,国外企业掌握着主要原材料研磨剂的 制造技术,如日本富士、美国嘉柏等。研磨剂颗粒一般为纳米级,要求均匀成核、生长时抑制 二次成核,且必须保持质量稳定、颗粒分布均匀、大小均匀,才能避免使用过程中对硅片或晶 圆造成损伤。国内 CMP 抛光材料制造厂商大多从美国、日本、韩国等国家进口原材料。CMP 抛光材料的下游为半导体产业,2000 年后中国内地开始承接半导体产业的第三次转移,伴随 中国半导体产业的迅速成长,包括 CMP 抛光材料在内的半导体材料产业有望迎来新一轮增长。

undefined

 

 

CMP 抛光垫/液具有技术、专利及客户认证体系等极高的行业壁垒。技术方面,抛光垫制 造的技术难点在于需要通过多次试错寻找合适的材料配方、制作工艺以及表面结构图案,来实 现较好且稳定的抛光效率和抛光效果。抛光液的技术难点则主要在于各种组分的质量浓度比例 和工艺流程,同样需要企业通过不断试错、优化配方和工艺流程来寻找能够获得最佳抛光效果 的方案。除此之外,抛光液/垫下游客户认证也构成另一行业壁垒。由于抛光材料对芯片的良 率具有重要影响,且其成本占比相对较低,因此具有资本密集和技术密集属性的晶圆厂为确保 半导体材料的高良品率和高稳定性,对原材料供应商的认证门槛极高、认证周期长,一旦确定 就很少更换供应商,导致原材料客户认证门槛极高。

(二)下游产能扩张叠加先进制程发展,CMP 材料市场前景广阔

半导体材料位于半导体产业链上游,中游晶圆产能积极扩张,终端应用场景需求高涨, 行业景气度传导至材料端,CMP 抛光材料需求将迎来新一轮提升。未来几年,5G、物联网、 大数据、人工智能以及汽车电子等新技术和新产品将带来庞大的半导体市场需求。受新冠疫情、 地缘政治以及自然灾害影响,全球芯片供应出现短缺,中国、美国、欧洲等国家和地区也纷纷 出台政策提振本国半导体产业发展,晶圆产能有望迎来新一轮扩张。CMP 是集成电路制造的 关键工艺,CMP 抛光垫和抛光液作为半导体重要材料,其需求量与下游晶圆产能直接相关。根据 SEMI,2014-2020 年,全球 CMP 抛光材料市场规模从 15.7 亿美元增长至 24.8 亿美元, 年均复合增长率(CAGR)约为 8%,其中,2020 年国内 CMP 抛光材料市场规模约为 32 亿元, 近五年复合增速维持在 10%左右。根据 TECHCET,2021 年全球晶圆制造用抛光液市场规模 预计将从 2020 年的 16.6 亿美元增长至 18 亿美元,增长率为 8%,2024 年全球抛光液市场规 模或达 18 亿美元,预计未来五年复合增长率为 6%;预计到 2025 年,国内 CMP 抛光液市场 将达到 10 亿美元。根据 QYR 预测,2021 年全球 CMP 抛光垫市场销售额达到 8.2 亿美元,预 计 2028 年将达到 13 亿美元,年复合增长率为 6.7%。未来,随着半导体市场规模的扩大和晶圆产能的扩张,以抛光垫、抛光液为主的 CMP 抛光材料的市场规模有望进一步增长。

先进制程对 CMP 工艺及材料提出更高要求。随着摩尔定律的发展,芯片集成度持续提高, 先进制程为 CMP 工艺及材料带来更多增长机会。芯片的制程是用以表征集成电路尺寸大小的 一个参数,随着技术进步,代工制程节点不断缩小,从 1971 年 10μm 一直发展到如今的 10nm、 7nm、5nm,线宽不断细小化。在集成电路不断推进的过程中,必然出现多种新技术和新材料, 相应地对抛光工艺材料提出了许多新的要求,下游客户在制造过程中使用 CMP 工艺的集成电 路比例也在不断增加,为 CMP 抛光工艺及材料带来更多增长机会。例如,65nm 制程的逻辑 芯片的制造需要经过约 12 道 CMP 工艺,使用的抛光液种类约为 5、6 种,而 7nm 制程所需要 的 CMP 工艺则增加至 30 多道,使用的抛光液种类接近三十种,抛光液的种类和用量随之大 幅增加。存储芯片从 2D NAND 到 3D NAND 的技术变革使得 CMP 工艺步骤次数几乎翻倍, 从 8 步增加至 16 步,也增加了 CMP 抛光液的用量需求。根据 TECHCET,先进封装以及下一 代逻辑和存储器件加速了 CMP 抛光材料的增长,2020 年全球晶圆制造用抛光材料市场规模达 到 16.6 亿美元,2021 年有望达到 18 亿美元。

图片

 

 

传统的 2D 封装并不需要 CMP 工艺,而先进封装使得 CMP 工艺从晶圆制造前道扩展到 后道封装领域。以 3D 封装为例,3D 封装是指在不改变封装体尺寸的前提下,在同一个封装 体内于垂直方向叠放两个以上芯片的封装技术,通常采用 TSV(硅通孔)技术实现。TSV 是 一项高密度封装技术,通过铜、钨、多晶硅等导电物质的填充实现硅通孔的垂直电气互联,使 芯片在三维方向上堆叠密度最大、芯片间互连线最短、外形尺寸最小,从而大大提高芯片的信 号传输速度,降低功耗,是目前最引人注目的先进封装技术。但 TSV 制程通常都会面临一个 普遍难题,即电镀时间较长导致晶圆表面淀积一层需去除的较厚铜膜,是常规互连线淀积铜膜 厚度的数倍,而传统的平坦化技术无法满足实际要求,必须采用 CMP 工艺,主要包括 TSV 铜 淀积后的正面抛光和晶圆背面 TSV 结构的铜暴露及平坦化。随着硅通孔技术的广泛应用,CMP 工艺也成为 3D 封装必需的流程。

(三)行业壁垒极高,海外巨头垄断市场,国产替代迎发展新机遇

半导体 CMP 抛光材料行业属于技术密集型行业,有极高的专利、技术和客户认证壁垒, 目前全球 CMP 抛光材料市场主要被美国、日本、韩国的外资厂商所垄断,呈现寡头垄断局面。国内 CMP 抛光材料产业起步慢,企业数量少,规模较小,仅有少数企业能实现量产,在市占 率方面也与国外厂商有相当的差距。抛光垫领域,Dow(陶氏化学)、Cabot Microeconomics (卡博特微电子)、Thomas West 等外资厂商公司拥有 90%市场份额;抛光液领域,卡博特 (Cabot)、日立(Hitachi)、FUJIMI、慧瞻材料(Versum)等外资厂商垄断全球近 65%的市场 份额。相比而言,抛光液市场分散程度相对较高,行业龙头卡博特的全球市占率从 2000 年的 约 80%下降至 2020 年的 36%,说明抛光液市场正朝多元化发展,地区本土化自给率逐渐提升, 国产替代拥有更多机会。目前,国内厂商安集科技在 2018 年完成了多个世界水平的材料研发 和产业化供应,部分打破了海外厂商垄断局面,全球市占率第五,但仅为 4.5%,发展空间广 阔。

Dow(陶氏化学):成立于 1897 年,经过一百多年的发展,成为美国第一、全球第二大化 工企业,产品主要涵盖电子、特殊材料、涂料等八大领域。在半导体领域,Dow 主要经营 CMP 抛光垫、抛光液、光刻材料等,在 CMP 抛光垫市场拥有绝对龙头地位,全球市占率高达约 80%, 垄断中国近 90%的抛光垫供给;其最早推出的 IC1000 抛光垫产品已成为行业标准,目前有多 种型号 CMP 抛光垫供应亚太地区、欧洲和北美市场。

Cabot Microelectronics(卡博特微电子):1999 年成立于美国,是全球最大的 CMP 抛光 液供应商,全球市占率达 36%,同时是全球第二大的抛光垫供应商,市占率达 5%。Cabot 抛 光液产品结构以主要用于存储芯片的钨抛光液为主,占比达 55%,电介质抛光液占比为 30%, 其他金属抛光液占比 15%。Cabot 客户分布广泛,包含中国大陆、中国台湾、美国、韩国、以 及欧洲等国家和地区。公司抛光液产品线丰富,对钨、电介质和其他金属均有覆盖,对于不同 制程的产品亦推出多款针对性产品,覆盖 10nm-139nm 制程,以满足客户多样化需求。

图片

 

 

半导体材料国内供给缺口巨大,多重因素叠加为 CMP 材料国产替代提供更多发展机遇, 国产替代未来可期。需求方面,随着半导体产业逐步向中国大陆转移,国内半导体材料市场 需求将持续增长,但目前国产供给缺口巨大,国产化率仅 10%,尤其在中美贸易战背景下, 国产替代需求强烈;随着制程节点推进,下游晶圆厂扩产,抛光材料的种类和用量随之增加, 市场进一步扩容,国内 CMP 材料厂商迎来更多发展机遇;供给方面,CMP 抛光材料是高价 值、高消耗材料,其中抛光液被称为“流动的液体黄金”,毛利润率在 55%左右,利润空间叠加 需求空间吸引资本加速布局抛光材料制造领域,增加国产产品供给。供需两方面动力助推国产 CMP 抛光材料市场发展。

七、溅射靶材市场规模增长迅速,国产化替代稳步推进

(一)靶材市场规模不断扩大,下游需求增长可期

溅射靶材是溅射过程中高速度能的离子束轰击的目标材料,是沉积电子薄膜的原材料。溅射是指利用离子流产生的离子,在真空中经过加速聚集,而形成高速度能的离子束流,轰击 固体表面,离子和固体表面原子发生动能交换,使固体表面的原子离开固体并沉积在基底表面 的过程。按使用的原材料材质不同,溅射靶材可以分为金属或非金属单质靶材、化合物靶材、 合金靶材等。

靶材产业链呈金字塔分布,可以分为金属提纯、靶材制造、溅射镀膜、终端应用四个环 节。金属原材料铝、钛、硅、坞等经过金属提纯,形成高纯金属,即上游原材料。高纯金属通 过靶材制造环节,形成溅射靶材。靶材主要包括靶坯、背板等,其中靶坯是溅射靶材的核心部 分,背板则主要起到固定溅射靶材的作用,保证各类材质的靶坯在严苛的溅射环境中正常工作。在溅射镀膜过程中,靶坯被高速离子束流轰击,其表面原子溅射出来,沉积于基板从而制成电 子薄膜。薄膜材料最终应用于半导体芯片、平板显示器、信息存储、光学元器件等领域。

undefined

 

 

靶材产业链下游包括半导体芯片、太阳能、显示面板等领域。智研咨询的数据显示,全 球靶材下游市场中,平板显示占比最高,达 34%,其次是记录媒体和太阳能电池,分别达 29%、 21%,半导体则占 10%。中国靶材应用市场中,占比较高的同样为平板显示、记录媒体,分别 达 49%、28%,半导体和太阳能电池则分别占 9%、8%。下游应用领域市场的不断发展和扩大, 将为靶材市场提供新的增长动力,推动靶材产业的发展。

全球半导体用靶材市场规模平稳增长。半导体市场规模的扩大给半导体用靶材市场提供 了巨大的增长空间。中研普华产业研究院的数据显示,2013-2016 年,全球半导体用靶材市场 规模相对稳定,大约 11.5 亿美元。2017-2020 年,半导体用靶材市场规模出现了较大幅度的提 升,由 2017 年的 12.4 亿美元提升至 2020 年的 15.7 亿美元,年复合增长率为 8.18%。

中国半导体靶材市场相较全球市场增速更高,处于较快发展阶段。国内晶圆厂大幅扩产, 也将带动国内靶材市场需求大幅增加。根据中研普华产业研究院数据,中国溅射靶材的市场规 模和市场份额将进一步扩大和提高,预计 2020 年中国半导体靶材市场规模达 29.9 亿元。薄膜太阳能电池优势明显,具有广阔市场空间。太阳能电池主要分为薄膜太阳能电池和 晶体硅太阳能电池两类。其中,薄膜太阳能电池和晶体硅太阳能电池相比,具有材料用量更少、 制造温度较低、应用范围更大等特点,优势明显。制备薄膜太阳能电池常用的溅射靶材有铝靶、 铜靶、ITO 靶、氧化铝锌靶等,纯度要求高。2016 年以来,中国太阳能电池产量稳步增长, 2021 年 1-7 月增长率达 50.6%,预计在未来有更广阔的市场空间。

undefined

 

 

中国平板显示靶材市场规模不断扩大。平板显示器的组成部分包括金属电极、绝缘层、 发光层、透明导电极等,是溅射靶材的应用领域之一。2016-2020 年,中国面板显示市场规模 保持稳定,仅 2017 年出现小幅度波动,但随着技术使用的提高,中国平板显示靶材市场规模 保持稳步增长,2019 年市场规模同比增长 21.43%。根据智研咨询的数据,未来其市场规模仍 将保持快速增长,预计在 2022 年市场规模突破 200 亿元。

(二)行业壁垒高,国产化替代稳步推进

高纯溅射靶材产品技术含量要求高,流程复杂。在金属提纯环节中,往往需要经过熔炼、 合金化和铸造等步骤,最大限度地去除杂质,满足生产过程中对大小尺寸、金属成分的要求。溅射靶材制造环节则需要根据不同性能需求进行工艺设计,并反复进行塑性变形、热处理,工 序精细且繁多。溅射镀膜对技术工艺和生产设备的要求最高,在这一过程中,溅射靶材需要在 机台中完成溅射反应,溅射机台往往对溅射靶材的形状、尺寸和精度存在诸多限制。不同应用 领域对金属材料的选择和性能要求也存在差异。

射靶材行业存在客户认证壁垒、技术壁垒、资金壁垒、人才壁垒。溅射靶材行业存在 严格的供应商认证机制,新进企业往往需要 2~3 年的客户评价认证。该行业属于典型的技术 密集型产业,生产商往往采取严格的保密和专利授权措施,新进企业会面临较高的技术门槛。为了实现高纯溅射靶材产品的研发,需要投入大量资金,不断加大投资力度。产品的研发和制 造还需要有成熟经验的高层次技术人才,深刻理解生产过程中的关键技术环节。

undefined

 

 

国内市场起步较晚,少数厂商突破技术门槛。资金、技术、人才等客观条件的限制,给 国内高纯溅射靶材产业发展带来了很大的阻碍。目前,国内多数厂商仍存在技术水平低、企业 规模小、产业布局分散都问题,市场处于开拓初期。少数龙头企业逐渐突破了技术壁垒,如江 丰电子、隆华科技、阿石创、有研新材等公司掌握了溅射靶材生产的核心技术,填补了国内在 溅射靶材领域的空白,被国内外知名半导体、平板显示器厂商应用,上升势头明显。全球产业转移为国内溅射靶材产业提供发展机遇。面对下游成本压力,中国作为全球最 大的集成电路交易市场,开始受到世界知名企业的青睐。各国跨国企业不断加大对华投资力度, 廉价的劳动力成本和逐渐完善的配套设施也为外国投资商提供了良好的发展环境。溅射靶材和 下游产业应用本土化程度的提高,将进一步提升中国在全球溅射靶材市场的地位,为国内溅射 靶材产业提供更加广阔的市场空间。(报告来源:未来智库)

八、湿电子化学品下游应用需求迅猛增长,国产替代提速

(一)湿电子化学品是电子湿法制程关键材料

湿电子化学品是电子行业湿法制程的关键材料,超净、高纯以及功能性是其核心要素。湿电子化学品(Wet Chemicals),又称为工艺化学品(Process Chemicals),是微电子和光电子 湿法工艺(包括湿法蚀刻、清洗、显影、互联等)制程中使用的各种液体化工材料,也是显示 面板、半导体、光伏电池等制造过程中不可缺少的关键性材料之一。

按照用途,湿电子化学品可以分为通用化学品、又称超净高纯试剂(Ultra-clean and High-purity Reagents),和以光刻胶配套试剂为代表的功能性化学品。超净高纯试剂是指主体 成分纯度大于 99.99%、杂质离子和微粒数符合严格要求的化学试剂,主要包括过氧化氢(双 氧水)、氢氟酸、硫酸、磷酸、盐酸、硝酸、氢氧化铵等液体化学品,其中双氧水广泛使用于 集成电路、液晶显示器、太阳能电池、LED 制造工艺中;功能性湿电子化学品是指通过复配 手段实现特殊功能以满足特殊工艺需求的配方类或复配类化学品,主要包括显影液、剥离液、 清洗液、刻蚀液等。超净高纯试剂是主要的湿电子化学品,占比达到 88%,其中又以过氧化 氢、氢氟酸、硫酸和硝酸为主。

超净高纯试剂是半导体等微电子精细加工技术实现的保障之一。以半导体生产为例,大 规模集成电路制造包含几十道工序,在此过程中,空气、水、化学试剂、电磁环境噪声等诸多 因素都可能影响集成电路产品的物理化学性质,进而影响产品质量;超净高纯试剂能够起到去 除污染物的作用,随着摩尔定律的发展,芯片集成度越来越高,相应地对高纯试剂也提出了更 高的要求。超净高纯试剂是随着集成电路制造发展,在通用试剂的基础上发展起来的。1975 年 SEMI 制定了国际统一的超净高纯试剂标准,来衡量不同湿电子化学品的洁净度和纯度。按 照 SEMI 标准,湿电子化学品目前分为 G1-G5 五个等级,等级越高,金属杂质含量越低,颗 粒度越小。G1 等级湿电子化学品适用制程为>1.2μm、金属杂质≤ 1000、颗粒度≤ 1μm;而 G5 等级,湿电子化学品适用制程< 0.09μm、金属杂质≤ 0.01、颗粒度≤ 0.2,甚至更小。太阳能 电池领域仅需达到 G1 等级,显示面板领域一般要求达到 G2、G3 等级,集成电路领域,8 英 寸及以下晶圆要求达到 G3、G4 水平,12 英寸晶圆需要达到 G5 等级。

图片

 

 

中国湿电子化学品行业的中游参与者为湿电子化学品制造商,主要负责湿电子化学品的 生产和销售。湿电子化学品从基础化工原料到最终成品的制造过程中,需要经过精馏、过滤、 去除颗粒物杂质、混配生产和封装等上百道生产工艺,每道生产工艺环节会根据生产工艺选用 不同的基础化工原料,并涉及到纯化技术、混配技术、分离技术及生产配套的分析检验技术、 环境处理与检测技术等关键生产技术。目前与国外湿电子化学品制造商相比,国内湿电子化学 品制造商在生产技术、生产工艺、配方技术和配套能力上不具备竞争优势。未来,在中国湿电 子化学品国产化进程提速的趋势下,国内湿电子化学品制造商发展空间广阔。

技术、客户、规模和资金、行政许可构成了湿电子化学品的行业壁垒。技术方面,湿电 子化学品行业属于电子信息产业配套性的基础化工材料领域,专业性强,属于典型的技术密集 型行业。各种湿电子化学品之间在材料属性、生产工艺、功能原理、应用领域之间差异较大, 湿电子化学品是化学试剂产品中对品质、纯度要求最高的细分领域,对生产的工艺流程、生产 设备、生产环境控制、包装技术都有非常高的要求;新能源、信息通讯、消费电子等下游信息 产业的快速发展,要求湿电子化学品更新换代速度不断加快。客户方面,尽管湿电子化学品在 下游电子元器件中成本占比很小,但对最终产品的性能影响很大,大型下游企业十分重视湿电 子化学品的质量和供货能力,常采用认证采购模式,一般产品得到下游客户认证需要较长时间, 因此一旦形成合作关系通常稳定持续,而后进入者将面临较高的市场门槛。

(二)下游需求、产业转移、国家政策等多重因素助推行业发展

湿电子化学品是新能源、现代通信、新一代电子信息技术、新型显示技术的重要基础性 关键化学材料,也是当今世界发展速度较快的产业领域。在经济转型、产业结构调整、内需 扩张的大背景下,湿电子化学品行业将有较好的发展前景。具体影响因素包括半导体、显示面 板、太阳能电池等下游产业的快速发展,国家政策扶持力度的加大,全球半导体和面板产能持 续向中国大陆转移的趋势等,在内外多重因素综合影响下,国内湿电子化学品行业迎来新一轮 快速增长。根据 SEMI,其中湿电子化学品市场规模达到 50.84 亿美元,约占 7.58%,2016-2020 年 CAGR 为 3.54%;根据晶瑞股份公告,2020 年中国大陆湿电子化学品市场规模达到 100.62 亿元,2016-2020 年 CAGR 达到 12.05%,高于同期全球水平。

undefined

 

 

湿电子化学品是晶圆加工重要原料。湿电子化学品在半导体制造领域的应用主要包括集 成电路和分立器件制造用晶圆的加工,包括前端加工与后端封测环节,其中湿电子化学品主要 用于清洗、光刻和蚀刻工艺。目前湿法化学清洗技术依然处于主导地位,即使用稀释的化学溶 液辅以超声波或喷射式喷雾处理等进行清洗;在光刻工序中,湿电子化学品用于基片前处理、 匀胶、显影和剥离步骤;在广泛应用的湿法蚀刻工艺中,湿电子化学品用于与需要蚀刻的薄膜 材料发生化学反应,以除去光刻胶未覆盖区域的薄膜。在晶圆加工中,硫酸、双氧水、氨水、 显影液、氢氟酸是主要的湿电子化学品,分别占 32.8%、28.1%、8.3%、6%和 5.9%。根据 SEMI 数据,在晶圆制造材料价值组成中,湿电子化学品约占 10%(包括化学试剂和光刻胶配套试 剂)。

先进制程对湿电子化学品等级提出更高要求,国产替代空间广阔。随着摩尔定律的发展, 集成电路规模不断提升,晶圆制造对于湿电子化学品的纯度、洁净度等随之提出了更高要求。目前,8 英寸晶圆制造多使用 G3、G4 等级湿电子化学品,由于加工方式发生改变,12 英寸晶 圆对湿电子化学品的等级提出了更高的要求,普遍需要 G4-G5 等级。随着集成电路制程节点 的突破,G4、G5 高等级湿电子化学品需求占比将逐渐升高。而国内湿电子化学品达到国际标 准且具有一定规模生产能力的企业中,技术水平多集中在 G3 以下(国产化率为 80%),G3 及 以上的湿电子化学品国产化率仅为 10%左右,国产替代空间广阔。我国湿电子化学品有着巨 大的替代进口市场空间。在湿电子化学品三大应用领域中,半导体领域对于湿电子化学品要求 最高,超大规模及以上集成电路制造要求湿电子化学品达到 G4 以上等级。我国湿电子化学品 起步晚,与世界顶尖水平差距较大,长期依赖进口,影响了我国半导体行业整体发展。随着半 导体产业转移、下游需求扩张、政策扶持力度进一步加大,我国有望逐渐实现半导体制造领域 湿电子化学品的国产化、本地化。

(三)进口替代市场空间广阔,国内企业迎发展新机遇

全球范围内从事湿电子化学品研究开发及大规模生产的厂商主要集中在美国、德国、日 本、韩国以及中国台湾地区。欧美传统湿电子化学品厂商拥有约 33%的市场份额,代表企业 包括德国巴斯夫公司、E.Merck 公司、美国亚什兰集团、霍尼韦尔公司等。作为老牌化工企业, 这些企业拥有顶尖的生产技术,产品等级可达到 SEMIG4 及以上等级,是行业发展的领头羊;全球 27%的市场份额为日本十家左右的是电子化学品企业所拥有,日本化工企业发展晚于欧 美但发展飞速,技术水平已与欧美企业达到同一水平。目前,欧美及日本主导着全球湿电子化 学品行业,占据高端产品市场。另外有约 38%的市场份额由韩国、中国大陆及中国台湾占据, 其中韩国、台湾地区在生产技术上具有一定优势,其产品在高端市场领域与欧美、日本企业相 比也有一定的竞争力;中国大陆湿电子化学品企业距离世界顶尖水平还有较大差距。

图片

 

 

国产替代空间广阔。目前我国湿电子化学品行业整体技术水平较为落后,国内大多数企 业仅仅掌握 G1 至 G2 生产工艺,仅少数企业在单一产品上达到 G3 级别,极少数企业个别产 品达到 G4 级别,而国际顶尖湿电子化学品企业均已达到 G4 及以上等级水平。大部分复配类 产品即功能性湿电子化学品的产品配方为外资企业拥有,国内湿电子化学品行业整体技术水平 与世界顶尖水平仍有较大差距。国际领先的电子化学品企业都经过长时间的资金、技术积累, 规模大、研发资金充足、高端设备端投入高,人才队伍储备充足;相比外资企业,国内湿电子 化学品行业起步较晚,资金、高端人才储备、研发投入、配套设备等各方面的不足都制约着行 业的发展。未来随着我国企业在湿电子化学品领域中投入的增加,产品等级和质量将有较大的 提升;在下游需求领域的迅速发展、国家政策支持力度加大的背景下,我国高端湿电子化学品 配套能力有望快速提升,替代进口趋势将更加明显。

*文章来源 未来智库

*侵权必删

学说平台,学说网,金融学说,道口学说,学说经济,五道口学说,清华五道口学说,清华学说,学说平台直播,学说会议直播,学说直播回顾,学说直播预告,学说ABFER直播,学说学者库,学说研讨会直播,学说年会直播,学说论文库,学说学者库

 

经典论文回顾
经典论文回顾
文章131
·
总浏览量10313
最新文章
更多
【IEEE Communications Society主办】第三届智能计算与下一代网络国际会议(ICNGN 2024)
杜金桐
4
浏览
【征稿-EI检索】第五届新型功能材料国际会议(ICNFM 2024)
杜金桐
14
浏览
智领未来 元启新篇|南京江宁AIGC与元宇宙产业发展论坛暨元宇宙供需对接大会成功举办
杨展
2238
浏览
前沿科技盛会:南京AIGC与元宇宙论坛引爆行业新风向!
杨展
33355
浏览
顶刊JDE | 外资冲击波下的质量危机!中国出口产品质量下滑是为哪般?
经济学前沿问题研究
48
浏览
【EI检索】2024年第一届先进能源材料、能源器件与能源系统国际会议(AEMDS 2024)
杜金桐
15
浏览
热门用户
学术前沿速递
学术前沿速递
文章
300
学说观点
学说观点
文章
300
AIGC交流社区
学说官方
文章
240
未央网
未央网
文章
233
毕宣
中央财经大学
文章
185
王凯
T. Rowe Price
文章
181
热门文章
更多
经济学入门必读书籍有哪些值得推荐?
楚健
·
1185
浏览
绿色信贷能否提高商业银行的核心竞争力?基于中国的准自然实验
创新研究
·
879
浏览
最新综述!AIGC到底是什么?都有哪些应用?一文尽览!
AIGC交流社区
·
767
浏览
数电票的26个问题,税局统一回复!
张俊熙
·
763
浏览
研究方法 | 文献资料分析方法大全!收藏
周舟
·
762
浏览
如果经济学家连股都不炒,那他们都在干什么呢?
李博
·
682
浏览
“特斯拉”打败了“星巴克”
张子瑞
·
670
浏览
研究方法:文献资料分析方法
周舟
·
660
浏览
银行纷纷入局数字藏品赛道,什么信号?
李杨杨
·
631
浏览
会议预告|清华五道口绿色金融讲座第一期,邀您探讨“碳达峰碳中和——中国发展转型的机遇和挑战”
学术会议动态
·
3320
浏览